Как построить цап из готовых частей. Собираем качественный ЦАП уровня hi-end из недорогого набора

Вот электрическая схема самодельного цифроаналогового преобразователя, которая использует микросхему PCM2707 - готовый модуль USB DAC. Он определяется как USB Audio Class 1.0 устройство и не требует каких-либо особых драйверов.

Схема изготовлена по даташиту, только добавлено пару индикаторных светодиодов, чтобы было видно когда устройство выключено и подключено к компьютеру. Ещё поставили дроссель на USB 5V линии, чтобы подавить любой высокочастотный шум, теоретически способный просочиться по питанию DAC.

При монтаже ЦАП старайтесь использовать радиодетали для поверхностного монтажа. Большинство пассивных компонентов (резисторы, конденсаторы, ферритовые кольца) типоразмера 0805.

На микросхеме PCM2707 имеется возможность задействовать кнопки управления громкости, воспроизведения, паузы и пропуска песни на компьютере, к которой блок присоединен. В данном варианте не планируется использовать эти функции, но добавлены контактные штыри на случай, если задействуем чего-то в будущем.

А это вид собранной печатной платы декодера USB DAC, которая сразу же заработала при первом подключении. В данном случае используются наушники для прослушивания музыки, но можно подключить любой самодельный усилитель .

ЦАП – цифро-аналоговые преобразователи – устройства, предназначенные для преобразования дискретного (цифрового) сигнала в непрерывный (аналоговый) сигнал. Преобразование производится пропорционально двоичному коду сигнала.

Классификация ЦАП

По виду выходного сигнала : с токовым выходом и выходом в виде напряжения;

По типу цифрового интерфейса : с последовательным вводом и с параллельным вводом входного кода;

По числу ЦАП на кристалле : одноканальные и многоканальные;

По быстродействию : умеренного быстродействия и высокого быстродействия.

Основные параметры ЦАП:

1. N – разрядность.

2. Максимальный выходной ток.

4. Величина опорного напряжения.

5. Разрешающая способность.

6. Уровни управляющего напряжения (ТТЛ или КМОП).

7. Погрешности преобразования (погрешность смещения нуля на выходе, абсолютная погрешность преобразования, нелинейность преобразования, дифференциальная нелинейность). 8. Время преобразования – интервал времени с момента предъявления (подачи) кода до момента появления выходного сигнала.

9. Время установления аналогового сигнала

Основными элементами ЦАП служат:

Резистивные матрицы (набор делителей с определенным ТКС, с определенным отклонением 2%, 5% и менее) могут быть встроены в ИМС;

Ключи (на биполярных или МОП-транзисторах);

Источник опорного напряжения.

Основные схемы построения ЦАП.


21. Ацп. Общие положения. Частота дискретизации. Классификация ацп. Принцип работы ацп параллельного действия.

По быстродействию АЦП делят на:

1. АЦП параллельного преобразования (параллельные АЦП) – быстродействующие АЦП, имеют сложное аппаратное использование единицы ГГц.разрешение N = 8-12 бит, Fg = десятки МГц

2. АЦП последовательного приближения (последовательного счета) до 10МГц.разрешение N = 10-16 бит, Fg = десятки кГц

3. Интегрирующие АЦП сотни Гц.разрешение N = 16-24 бит, Fg = десятки

4. Сигма-дельта АЦП единицы МГц.разрешение N = 16-24 бит, Fg = сотни Гц

22. Ацп последовательного счета. Принцип действия.

23. АЦП последовательных приближений. Принцип действия.

Этот код с выхода РПП подается на ЦАП, который выдает соответствующее напряжение 3/4Uвхmах, которое сравнивается с Uвх (на СС) и результат записывается в тот же разряд четвертым тактовым импульсом. Далее процесс продолжается до тех пор, пока не будут проанализированы все разряды.

Время преобразования АЦП последовательного приближения:

tпр = 2nTG, где TG – период следования импульсов генератора; n – разрядность АЦП.

Такие АЦП уступают по быстродействию АЦП параллельного типа, однако они более дешевые и потребляют меньшую мощность. Пример: 1113ПВ1.

24. Принцип работы ацп интегрирующего типа.

В основе принципа работы интегрирующего АЦП лежат два основных принципа:

1. Преобразование входного напряжения в частоту или в длительность (время) импульсов

Uвх → f (ПНЧ – преобразователь напряжение-частота)

2. Преобразование частоты или длительности (времени) в цифровой код

f → N; T→ N.

Основную погрешность вносят ПНЧ.

АЦП данного типа осуществляют преобразование в два этапа.

На первом этапе входной аналоговый сигнал интегрируетися и это проинтегрированное значение преобразуется в импульсную последовательность. Частота следования импульсов в этой последовательности или их длительность бывает промодулирована проинтегрированным значением входного сигнала.

На втором этапе эта последовательность импульсов преобразуется в цифровой код - измеряется ее частота или длительность импульсов.

Будучи «счастливым» обладателем интегрированной звуковой подсистемы, я все же мечтал о хорошей звуковой карте, и даже подумать не мог, что ее можно сделать своими руками в домашних условиях. Однажды, бороздя просторы Всемирной сети, наткнулся на описание звуковой карты с USB интерфейсом на микросхеме РСМ2702 фирмы Burr-Brown и, просмотрев прайсы фирм, торгующих радиодеталями, понял, что это пока не для нас - о ней никто ничего не знал. Позже мой компьютер был собран в небольшом корпусе microATX, в котором не хватало места даже для старенькой Creative Audigy2 ZS. Пришлось искать что-то небольшое и желательно внешнее с интерфейсом USB. И тут снова наткнулся на чип РСМ2702, который уже активно использовали и хвалили за качество воспроизведения музыки - при правильной схемотехнике звук был куда приятней, чем у той же Audigy2 ZS. Снова поиск по прайсам, и о чудо, искомая микросхема есть в наличии по цене около 18 «вражеских денег». В итоге была заказано парочка чипов для экспериментов, так сказать, послушать, что там наваяли буржуйские «ЦАПостроители».

Итак, что же за зверь этот контроллер РСМ2702, от легендарной фирмы Burr-Brown, который покорила сердца аудиофилов во всем мире своими топовыми решениями? Интересно, на что способно бюджетное решение?

По данным технической документации на микросхему (pcm2702.pdf) мы имеем цифро-аналоговый преобразователь (digital-to-analog converter - DAC) с интерфейсом USB со следующими характеристиками:

  • Разрядность 16 бит;
  • Частота дискретизации 32 кГц, 44,1 кГц и 48 кГц;
  • Динамический диапазон 100 дБ;
  • Отношение сигнал/шум 105 дБ;
  • Уровень нелинейных искажений 0,002%;
  • Интерфейс USB1.1;
  • Цифровой фильтр с 8-ми кратной передискретизацией;
  • Работает со стандартным драйвером USB audio device.
Характеристики оказались весьма неплохими, особенно порадовала поддержка частоты дискретизации 44,1 кГц, которая является стандартной для большинства аудио-форматов, в то время как Creative Audigy2 ZS были лишены возможности работать на этой частоте. Процессор звуковой платы Creative проводил передискретизацию потоков с частотой 44,1 кГц в поток с частотой 48 кГц, причем, не всегда по оптимальному алгоритму, что выражалось в потере качества воспроизведения музыки. Большой плюс РСМ2702 заключается в том, что для восстановления исходного состояния сигнала после цифровой обработки используется внешний фильтр низких частот - LPF (low-pass filter- LPF), от которого сильно зависит качество звука. У большинства бюджетных решений LPF встроенный, и мы получаем на выходе уже восстановленный аудио-сигнал, при этом нет возможности хоть как-то повлиять на данный процесс.

Теперь про само устройство. Для начала был собран простенький вариант по рекомендуемой производителем схеме с небольшими изменениями в питании. Получилась маленькая «звуковуха» с питанием от USB.


Но такое устройство не являлось законченным и требовало внешний усилитель, да и наушники нормально раскачать не могло. Позже была заменена материнская плата на другую, с нормальным HAD-кодеком и хорошей разводкой платы. Аудиотракт был лишен посторонних шумов и шорохов, да и качество выходного сигнала было не хуже чем у РСМ2702. И, наверное, этих строк не было, ели бы мне на глаза не попался такой вот ящичек:






Это система пассивного охлаждения для HDD, но для меня, в первую очередь, это шикарный корпус для радиоаппаратуры. Я сразу понял, что в нем будет что-то собрано, например, звуковая карта с усилителем, благо с охлаждением проблем не должно быть. Много думал над схемотехникой девайса. С одной стороны хотелось высокого качества, а с другой - не хотелось платить больше чем стоят готовые звуковые платы от Creative. Основной вопрос возник по LPF и усилителю для наушников, ведь высококачественные комплектующие для этих целей могут стоить столько же, как сама РСМ2702, а то и больше. Например, цена на высококачественные операционные усилители для LPF - ОРА2132 и OPA627, стоят порядка 10 и 35 долларов соответственно. Микросхемы усилителя для наушников - AD815 или TPA6120, я вовсе не нашел в прайсах, причем, цены на них тоже не маленькие.

Но худа без добра не бывает и я нашел в Сети схему простого и качественного LPF на транзисторах, автор которой утверждал о приличном звучании, даже не хуже дорогих операционных усилителей. Решил попробовать. В качестве усилителя для наушников поставил микросхему LM1876 - младшую двухканальную «сестру» легендарной LM3886 с таким же звучанием но меньшей мощностью. Данная микросхема позволяет, увеличив коэффициент усиления, подключать колонки.

Получилась вот такая схема - USB-DAC_PCM2702_Sch.pdf , чертеж печатной платы - USB-DAC_PCM2702_Pcb.pdf в зеркальном отображении для переноса изображения лазерно-утюжным методом на медную фольгу, так называемый ЛУТ (подробней можно почитать в Интернете), чертеж расположения элементов и перемычек на плате, а также схема подключения регулятора громкости - USB-DAC_PCM2702.pdf .

В собранном виде плата выглядит так:



Немного расскажу, как это все работает, если вдруг найдутся желающие собрать подобный агрегат. Схема включения PCM2702 стандартная - LPF представляет собой фильтр Саллена-Кея, ФНЧ второго порядка с единичным усилением, поскольку активный элемент работает как повторитель, то без проблем можно использовать эмиттерный или истоковый повторитель. Тут уже есть поле для экспериментов. Можно подобрать тип транзисторов, который больше нравится по звуку - я, тестируя из того что было в наличии, остановился на КТ3102Е в металлическом корпусе (VT3, VT4 - смотрите схему USB-DAC_PCM2702_Sch). Элементы фильтра больше всего влияют на звук, особенно конденсаторы С25, С26, С31, С32. Знатоки этого дела рекомендуют ставить пленочные конденсаторы WIMA FKP2, фольговый полистирол FSC или советские ПМ. Но в наличии не нашлось ничего нормального и пришлось ставить то, что было, а уже потом я поменял на лучшее. На плате предусмотрены контактные площадки, как под выводные, так и SMD конденсаторы. Резисторы R9, R10, R11, R12 нужны попарно идентичные, для чего берем резисторы с точностью 1% или подбираем пары с помощью мультиметра. Я подбирал из нескольких десятков резисторов с точностью 5%, так как не было времени ждать, пока привезут с точностью 1%. Номиналы резисторов и конденсаторов можно подбирать по звучанию, как больше вам нравится, но единственное условие - пара должна быть одинаковой, чтобы каждый канал не пел по-своему.

В схеме предусмотрено отключение аналогового питания PCM2702 и выхода фильтра от разъемов Х5, Х6 если не подключен USB кабель к разъему Х1. Это сделано для того, чтобы низкое выходное сопротивление фильтра не мешало сигналу подаваемому на эти разъемы при использовании устройства как усилителя для наушников. При подключении аналоговое питание ЦАП подается через транзистор VT2, которым управляет транзистор VT1, если есть напряжение на разъеме USB, то оба транзистора открыты. Выходы фильтров подключаются к разъемам на задней панели через реле К1, которое тоже управляется питанием с USB. Реле я использовал V23079-A1001-B301 фирмы AXICOM. Если нет подобного реле, то вместо него можно поставить обычный переключатель с двумя контактными группами. Вместо транзистора VT2 тоже можно поставить переключатель, а все элементы, отвечающие за коммутацию питания, впаивать не потребуется, только желательно через тот же переключатель коммутировать и само питание USB.

Питается усилитель и аналоговая часть от внешнего источника питания напряжением 12-15 В и 0,5 А переменного тока, подключаемого через разъем Х2 на задней панели.


Сам источник питания был сделан с обычного стабилизированного БП на 12 В 0,5 А путем выбрасывания всего лишнего.


В усилителе также нужно подбирать попарно резисторы R15-R18, которыми задается коэффициент усиления (левый канал Кул = R17/R15, Куп = R18/R16). Если не планируется использование наушников то можно подключать динамики, тогда нужно уменьшить сопротивление резисторов R15, R16 до 4,7-10 кОм, можно еще немного увеличить сопротивление R17, R18. Таким образом, можно будет получить номинальную выходную мощность около 2 х 5 Вт. Если запитать микросхему D6 напряжением +/- 20...25 В, которое берется сразу после выпрямителя с конденсаторов С6, С7 можно получить максимальную выходную мощность 2 х 18 Вт, но для этого нужно будет поставить диоды VD2, VD3 на ток не меньше 3А, заменить предохранитель F2 на ток не меньше 3А, увеличить емкость конденсатов С6, С7 в два раза и использовать трансформатор в блоке питания большей мощности, примерно 16 В 4 А переменного тока.

Все резисторы SMD, резисторы R20, R22 типоразмером 1206, резисторы R13, R14 типоразмером 2010 вместо них можно установить перемычки, все остальные резисторы типоразмером 0805. Все керамические конденсаторы SMD типоразмером 0805, все электролитические конденсаторы с максимальной рабочей температурой 105 °С и малым внутренним сопротивлением, с рабочим напряжением 16 В, конденсаторы С6, С7 с максимальным рабочим напряжением 25-35 В. Большинство разъемов выпаяны с старой аппаратуры точной маркировки сказать не могу, ориентируйтесь по внешнему виду. Резистор регулятора громкости подключается двухжильным экранированным проводом, два канала сигнала и земля по экрану, резистор неизвестного китайского происхождения сопротивлением 20 кОм группы В (с экспоненциальной зависимостью сопротивления от угла поворота ручки).

Еще хочу немного рассказать, как паять микросхемы в таком маленьком корпусе. Некоторые ошибочно считают, что такие микросхемы нужно паять паяльниками маленькой мощности и тонким жалом. Очень весело наблюдать, когда люди затачивают жало, как шило и пытаются им паять каждую ножку в отдельности. На самом деле все легко и просто. Для начала устанавливаем микросхему в нужном положении, придерживаем рукой или фиксируем клеем, припаиваем один их крайних выводов, далее центруем, если нужно, и припаиваем противоположный вывод. Если спаяется несколько выводов вместе, то это не страшно. Паяльник берется мощностью 30-50 Вт с луженым, свеже-заточенным жалом под углом около 45°, и не жалеем флюса или канифоли. Флюс желательно не активный, иначе придется очень тщательно отмывать плату пытаясь вымыть его из-под микросхемы. Маленькой каплей припоя прогреваем все ноги, начиная с одного края и постепенно, по мере прогрева, сдвигаем паяльник в сторону не запаянных выводов, сгоняя на них лишний припой, при этом плату можно держать под углом, чтобы припой под действием силы тяжести сам стекал вниз. Если припоя не хватит - взять еще капельку, если много, то с помощью тряпки снимаем весь припой, что есть на жале паяльника, и не жалея флюса снимаем лишнее с выводов микросхемы. Таким образом, если плата нормально протравленная, хорошо зачищенная и обезжирена, то пайка проходит в течении 1-3 минуты и получается чистой, красивой и равномерной, что видно на моей плате. Но для большей уверенности рекомендую потренироваться на горелых платах от разной компьютерной техники с микросхемами, имеющими примерно такой же шаг выводов.

Рекомендую сначала не впаивать микросхемы D2 и D6 и элементы, которые могут мешать при их установке. В первую очередь необходимо спаять узлы, отвечающие за питание, прозвонить цепи питания на предмет короткого замыкания, подключить к порту USB и подать переменное напряжение 14 В с блока питания на Х2. На будущих выходах микросхем стабилизаторов должно быть следующие напряжения:

  • D1: +3,3 В;
  • D3: +12 В;
  • D4: -12 В;
  • D5: +5 В.
Далее необходимо проверить функционирование узла отключения аналогового питания ЦАП на транзисторах VT1, VT2. Если все нормально тогда впаиваем микросхемы D2 и D6 проверяем на наличие связей там, где нужно и отсутствие там, где не нужно и все, можно пробовать послушать что вышло.

При первом подключении РСМ2702 к компьютеру, система находит новое устройство - Динамики USB Burr-Brown Japan PCM2702.


После автоматической установки драйвера в диспетчере устройств, появится новое устройство - Динамики USB. Это значит, что все работает, так как нужно и можно включать музыку, видео или даже запускать игры.


Система автоматически передает звук на микросхему РСМ2702 при ее подключении к компьютеру и возвращает в исходное состояние при отключении платы, для возобновления воспроизведения нужно просто перезапустить нужную программу. Громкость регулируется стандартным регулятором громкости ОС Windows. Я проверял работоспособность платы только под системой Windows ХР SP2.

Немного о сборке всего устройства в корпус. Самое сложное это установка переменного резистора регулятора громкости. Передняя панель крепиться к шасси за выступ, который проходит вдоль тыльной стороны панели и имеет довольно серьезную толщину. Этот выступ нужно срезать ножовкой по металлу или фрезерным станком в том месте, где будет крепиться регулятор громкости, но при этом нужно быть очень осторожным, так как можно поцарапать покрытие алюминия из-за чего панель потеряет свою привлекательность. Затем сверлим отверстие для крепления резистора, место для которого прикидываем по положению ручки, которая будет надеваться на этот самый резистор. С лицевой стороны немного убираем ребра возле отверстия, чтобы гайка достала резьбы на основании резистора. Есть еще одна проблемка - центр панели не совпадает с центром внутренней камеры шасси, и резистор регулятора громкости упирается в корпус. Пришлось поднять панель на 2-3 мм, для чего срезал дремелем угол выступа для крепления.

Не буду подробно описывать все действия с панелью и шасси. Те, кто может сделать сам такого рода устройство, всё поймёт по фотографиям. Где нужно были посверлены отверстия и нарезана резьба, под панель при установке было подложено по 2 шайбы возле каждого винта, чтобы поднять ее на 2 мм. В шасси также посверлены отверстия и нарезана резьба для крепления платы. Микросхемы D3, D4 и D6 прижаты к шасси винтами М2.5, при этом D4 и D6 нужно изолировать от панели с помощью пластины слюды или другого теплопроводящего диэлектрика или использовать микросхемы с изолированным корпусом, как D6 в моём случае. Задняя панель сделана из пластмассовой заглушки от системного блока. Все это подробней можно рассмотреть на фото.


Я хорошо помню свое босоногое радиолюбительсткое детство. Тогда не было этих ваших интернетов, зато были журналы «Юный техник», «Моделист-конструктор», «Радио».

Компоненты доставали на свалках, у барыг, иногда и в магазинах. Модельный ряд аудиотехники был не очень широк. Мои товарищи, кому посчастливилось иметь дома аппаратуру промышленного производства, мерялись страницами паспортов своих магнитофонов, усилителей и проигрывателей, где были указаны характеристики.

Волшебные слова «Уровень шумов», «КНИ», «Выходная мощность» будоражили наши умы и не давали спокойно спать.

А аппарат из Японии – это было мощнейшее впечатление. Просто им обладать. Это было стильнее последней модели ойфона* сейчас для современной молодежи – однозначно.

* под этим термином я подразумеваю любое электронное устройство, удлинняющее, увеличивающее, а так же позволяющее почувствовать себя круче окружающих, или быть не хуже. Сорри, отвлекся.


Хотя встречал я детишек – своих ровесников – до сих пор ойфонами меряются. А у кого не было возможности купить – делали сами. И порой даже лучше, чем заводское. Естественно измерить параметры было невозможно, но сравнивали на слух, и радовались, как дети. Хотя что вспоминать? Детьми мы и были тогда!

Прошло время, возможностей прибавилось. Кто-то, воплотив мечту детства, наконец купил себе BMW, в лице АС от Martin Logan. А кто-то, как я, продолжает делать технику для себя своими руками. И дело не в том, что я не могу позволить себе Logan-ы, а в том, что сделать своими руками – это интереснее. Тут важен не результат, а процесс. А так купишь, поставишь, и будешь вытирать пыль раз в неделю. Времени то уже не так много, как в детстве. Тут бы иной раз до кровати доползти. О чем это я? Ах, да. Снова отвлекся!

Ну хорошо. Сделал. Запустил. На слух все хорошо. Но ведь надо и померить! А то ведь кто-то сразу показывает все ттх своей поделки, а тут и показать то нечего... А как померить?

Мощность усилителя – легко. Усиление тоже. А вот пресловутый уровень шума и коэффициент нелинейных искажений? Покупать для этого измеритель нелинейных искажений? Для одного измерения? Смысл? Тащить железку в лабораторию? Так лабораторию еще найти надо. И что мерять? Как?
Есть нелинейные, есть гармонические искажения? Понятно, что эти понятия разные, а при оценке характеристик аудиотракта они, при малых значениях, будут примерно одинаковы. Но нужен не анализ, а количественное значение. Иностранцы в основном оперируют термином THD (Total Harmonic Distortion). Да и средства измерения в виде компьютера и программ под него измеряют именно этот параметр. В даташитах указывается он же. На форумах и в обзорах устройств снова он. Так что есть смысл оценивать именно этот параметр.

По моим наблюдениям, уже стало стандартом "de facto", использовать для домашних измерений программу RMAA.
Я давно начал подозревать, что "в консерватории что-то не так". Это было еще несколько лет назад. Creative Live меня уже разочаровал, и из АЦП осталась только встроенная звуковуха. И вот я решил провести измерения. Скачал RMAA, сделал шнуры, приготовился. И... Облом.

Результат измерения собственных параметров встроенного звука был настолько шедеврален, что я, рыдая и стуча головой об стол, только усилием воли не выбросил системник из окна.
Пожалел коллекцию порно музыки на дисках. -70Дб шума и THD в 0.25% по кольцу – это даже не hi-fi. Тот же самый результат дала коробочка на РСМ2906. Как с этим жить то?

Поэтому я забросил идею измерений. Купить внешнюю дорогую карточку, при наличии нескольких ЦАП, чтоб подивиться на циферки я никак не мог себя заставить. Поеть? Хорошо! Нравится? Прекрасно!
Но вот наконец и на моей улице перевернулась фура с пивом и чипсами! У моего товарища появилась внешняя карточка. Ну я и решил стряхнуть со шнуров пыль, и, ради интереса, все же померить то, что я накреативил за последнее время.


Вот этот девайс. Creative X-Fi THX. Судя по отзывам и описаниям – для измерения должна подойти.

Ну а теперь я попробую померить то, что у меня осталось в живых. Дело в том, что некоторую часть устройств, описанных в предыдущих частях моих статей, я либо раздал желающим, либо разобрал, либо каким-то образом доработал. В первую очередь похоронил все РСМ2704-2707. Одна осталась как тестовый источник SPDIF/I2S.
То же самое постигло и TDA1541, кроме одной, что в паре с SM5813 собирает пыль на полке. Скорее всего я не умею их готовить, но звук их мне не сильно нравится.

Тест №1

В тесте принимали участие цап, собранные мной в разное время, и частично те, что еще не собраны.
1. TDA1541 + SM5813 + выхлоп даташит на AD822 AD827 (ткнул что было, так и осталось)

2. PCM1702 + DF1706 + даташитный (РСМ1702) выхлоп на 4х (!) ОУ ОРА2604.
описан подобный, но на РСМ63. Отличается разводкой платы под другой ЦАП.

3. AD1865 + DF1706 + выхлоп на советских измерительных трансформаторах, вычурно покрашенных мной в черный цвет. Трансы эти есть вот Еще не крашеные.

4. Один из последних. Дифференциальный ЦАП на 2х РСМ1700 + SM5842 + SRC4192 +выхлоп даташит. На момент измерений он у меня лежал, размазанный по столу без корпуса.


Все ЦАП работали от источника SPDIF EDEL USB Audio interface по SPDIF. Режим измерения 16 бит 48 кгц. (выше не тянет ТДА1541)

Да, кстати! Среди вас нет кого-нибудь, кто знаком с разаработчиками этой звуковухи Creative? Если есть, пожалуйста, забейте им гвоздь в голову от моего имени, я гвоздь возмещу. Или руки по локоть тупой ножовкой? А?
Это ж каким надо быть гениальным, чтоб из аудиоустройства совсем выпилить частоту, кратную 44кгц??? Это ж как ходить без одной ноги? Сюрприз такой слегка неожиданный был для меня. Я понимаю, что у маркетолога смартфон и он через него слушает, но не так же уж совсем...

Ладно, будем мерять тем, что есть. Как работает программа, и как считает, я не знаю. Но что-то померялось. Я, с вашего позволения, буду по ходу дела комментировать то, что наколхозил.

Результат


Как видно, он вполне ожидаем. Для меня. Я думал будет сильно хуже. Графики интереснее.
АЧХ:


Тут видно непонятный спад у ТДА1541, и подъем у АД1865. Ну с АД1865 понятно, там на выходе трансформатор, и похоже где-то есть резонансная цепь. Или на входе или на выходе. По звуку все отлично.

Шум:


Здесь ярко виден горб на 50гц. Никак и ничем не убирается. ЦАП и комп на общей земле, в одной розетке, ноль отдельно, SPDIF развязан везде через трансформатор. Фильтры по правилам. Положение вилки в розетке на картину не влияет. Ухом не слышно. Странно...

Ну и THD+noise:


Тут видно, что шлейф гармоник лезет у ТДА1541, и чуть пониже у АД1865. Остальные неплохо. Что не так у 1541 – не могу сказать, выхлоп сделан по даташиту. Менять ОУ не стал, было желание просто измерить. Как я уже говорил – я не умею их готовить. А вот у АД1865 похоже дает о себе знать трансформатор. Так что его выбор и согласование с ЦАП и с ОУ– задача не простая даже на первый взгляд.

Ладно. Так как звуковуху я брал на время, надо попробовать другие варианты.
Надо проверить влияние источника и способа подачи цифры на результат измерений.

Тест №2

Теперь тестирую два устройства:
1.ЦАП на РСМ58 с выхлопом "рогов – дискрет", описанным :

2. Последняя поделка на РСМ1700 в дифференциальном включении.


Оба аппарата собраны по одинаковой топологии, SRC4192 работает в режиме "output port master 256fs" , тактовая частота 24.576.000мгц для сетки, кратной 48кгц. SM5824 с половинной частотой (на полной работает со сбоями).

Использованы два источника цифрового сигнала: EDEL USB Audio interface и Phantom USB Interface на TAS1020. Режим 16*48 и 24*64.
Тут сразу вылез косяк измерилки от Creative:
Данные для 16*48.


И для 24*96.

Поразительная разница в уровне шумов. Оба ЦАП обогнали Creative по шумам.
Вот графики шумов:
16*48:


и 24*96:


я не думаю, что это связано с работой цап, там же SRC все усредняет, а вот АЦП у Creative на 24*96 явно работает в лучшем для него режиме, поэтому меньше отсебятины.

Зато THD неизменно, что и понятно.
16*48:


и 24*96:


Причину такого поведения РСМ58 здесь объяснить не сложно. Выхлоп "Рогов" на собран был на том, что есть, без подбора по h21, поэтому и звучание у него более "гармоничное".
Кстати его звучание мне нравится больше, чем РСМ1700 с даташитным выхлопом. Хотя по измерению последняя явно лучше.

Зато в этом случае ясно одно – источник цифрового сигнала на измерение влияния не оказывает. Я даже через ASIO прогнал. Не думаю, что разрешающей способности этой измерительной системы, равно как и самих моих ЦАП хватит, чтоб уловить разницув источниках, если вообще она есть.
На слух я ее не слышу.

Тест №3

Мне интересно было потыкать разные ОУ. И сравнить. Я понимаю, что с технической точки зрения это не правильно, что нужно подбирать
номиналы деталей, корректировать схему и плату под конкретный ОУ, но тут был чисто спортивный интерес.
Как на зло, под рукой не оказалось большого выбора одиночных ОУ, поэтому тест оказался не таким расширенным, как хотелось.

ЦАП тот же – РСМ1700.


В секции I/U были опробованы AD811 и LT1363 (их было больше 4х), в секции фильтра – OPA627, LME49990, LT1122.
THD:


Здесь картину испортила только LME49990, которая почему -то показала сильно завышенный уровень и гармоник, и нтермодуляционных искажений.
Я не утверждаю, что ей не место в фильтре, но похоже под нее надо уже более тщательно подбирать номиналы и обвязку. На досуге займусь, если измерилку не отберут.

Ну и в заключении литр бальзама для любителей и профессионалов.
Встречайте! Дельта и сигма! Лед и пламень! Жесть и пластик!
Это мои .
SPDIF. Там ничего другого и нет.
24 бита, 96 кгц.

1. АК4113 + 2*РСМ1794А в моно режиме.
2. АК4113 + АК4396.
Выхлоп везде – даташит. Усилен буфером на BUF634 c током покоя 30мА.


Тут, кроме небольших дефектов монтажа и разводки, даже комментировать нечего....
АЧХ:


Шум:


THD:


Повышенный IMD у АК4396 я думаю обусловлен работой суммирующего ОУ, режим и обвязку которого нужно подбирать более тщательно. Тип ОУ не помню, корпус было вскрывать лень.
И так как они у меня не в работе, а на полке – то не знаю, займусь ли когда, или быстрее пересоберу в другом качестве.

Какие выводы для себя я сделал по этим результатам?

Я давно для себя выработал термин "комфортное звучание". Если я считал когда то, что чем ниже THD, тем оно комфортнее – нет. Прямо противоположно. Может у других и не так. Этим же наверное можно объяснить любовь людей к лампам в усилителях. Лампы добавляют в сигнал свои гармоники, причем низких порядков, как более слышимые, тем самым гармонизируют звук.
Сам я пересел на камни в усилках, излишняя “гармонизация” в сравнении с камнями в моих глазах проиграла.
Истина все равно где-то рядом.

Итого:

1. До монстров цапостроения мне еще сильно далеко шагать.

2. На качество звука ЦАП сильнее всего влияет аналоговая часть. Так как ток на выходе Дельта-Сигмы больше, чем в Мультбитном ЦАП, то режим работы ОУ в каскаде преобразователя ток/напряжение будет другим, шумов и наводок меньше. Тип ОУ тоже важен, но с этим еще надо разбираться.

3. Питание и разводка. От этого зависит шум и прочее. Хотя на слух все прекрасно. По личному наблюдению, если не имеешь дома безэховой камеры, то этот параметр не так важен. Летом, через приоткрытое окно, я слышу шум и крики детей с улицы, хотя сижу в наушниках.
О каком шуме -90Дб можно говорить?
Если засунуть ухо в пищалку в паузе и выкрутить громкость на максимум – слышно легкий шум. Фона 50/100Гц нет. Энергосберегайки, компы, дешевые DVD, WI-FI, GPRS, GPS и прочее S никто уже не отменит, или в поле, где до ближайшей ЛЭП 5-10км. Но это для отъявленных...

4. Низкий THD у дельт – некомфортное звучание. Ну не могу я себя заставить ее слушать, если параллельно с ней работает РСМ58, и переключить два ЦАП – это один щелчок селектора на преде. Не переключаю.

5. Если нужно THD как в даташите – лучше купить готовое у гуру или у известного производителя. Приготовить самому цифру с несколькими нулями довольно сложно, а иногда в домашних условиях и невозможно, если у вас нет в подвале линии по производству многослойных ПП, или сосед этим не занимается чисто случайно. Если не нужно, делайте сами – это интересно!

Для тех, кому интересно, что там за ЦАП на РСМ1700

Схема аналогична ЦАП на РСМ58. Добавлена возможность работы от четырех входов. SPDIF coax , SPDIF optical , I2S , I2S master/slave для работы с EDEL. Мултиплексирование входов на SN74LVC1G125. Полная проверенная поддержка 24*192.
Полная гальваническая развязка I2S входов через ADuM1400 и IL715. SPDIF ресивер АК4113. Так как АК4113 не может регенерить клок выше 128fs в режиме 192кгц, его клок не используется, а данные проходят отработку в SRC4192 с внешним клоком от TCXO на 40.000мГц.
Реклок на три частоты – синхронный на 24.576000мГц, 22.579400мГц и асинхронный на 40.000000мГц.Хобби-радиоэлектроника.
Увлекся железом еще с раннего детства,чем доставлял немало хлопот родителям.
Не брали в радиокружок в 4 классе,т.к. в школе еще не преподавали физику (вот такие были правила).
Сейчас занимаюсь ремонтом и настройкой компьютеров,в свободное время что-нибудь паяю или собираю-разбираю:)

Читательское голосование

Статью одобрили 44 читателя.

Для участия в голосовании зарегистрируйтесь и войдите на сайт с вашими логином и паролем.

Игорь ГУСЕВ, Андрей МАРКИТАНОВ

Гаврила был аудиофилом,
Гаврила ЦАПы создавал…

Действительно, почему бы нам не сделать ЦАП своими руками? Нужно ли это вообще? Конечно! Внешний конвертор пригодится, в первую очередь, владельцам CD-проигрывателей, выпущенных 5 - 10 лет назад. Техника цифровой обработки звука развивается бурными темпами, и идея оживить саунд старенького, но любимого аппарата с помощью внешнего ЦАПа представляется весьма заманчивой. Во-вторых, такое устройство может принести большую пользу тем, у кого есть недорогая модель, оснащенная цифровым выходом, - это шанс поднять его звучание на новый уровень.

Не секрет, что, создавая недорогой CD-проигрыватель, разработчик находится в жестких финансовых рамках: ему нужно и транспорт поприличнее выбрать, и оснастить новинку всяким сервисом по максимуму, вывести на переднюю панель побольше кнопок с многофункциональным индикатором и т.д., иначе по жестким законам рынка аппарат не будет продаваться. Через год, как правило, появится новый, который подчас ничем не лучше старого по звучанию (а зачастую и хуже), и так до бесконечности. А большинство крупных фирм обычно меняют весь модельный ряд каждую весну…

На качественный ЦАП и аналоговую часть схемы выделенных средств обычно не хватает, и многие производители на этом откровенно экономят. Из этого правила есть, правда, исключения, когда подобные решения принимаются намеренно, являясь элементом технической политики фирмы.

Например, хорошо известная нашим аудиофилам японская С.Е.С. ставит в свои модели CD2100 и CD3100 дорогой транспорт с большим количеством ручных регулировок, применяя при этом простенький ЦАП, явно по классу не соответствующий механике. Эти аппараты позиционируются фирмой как транспорт с контрольным аудиотрактом и изначально предназначены для работы с внешним конвертором. Несколько иная ситуация с проигрывателями ТЕАС VRDS 10 - 25. Устанавливая высококлассный привод и дорогие микросхемы ЦАП TDA1547 (DAC 7), инженеры почему-то решили сэкономить на выходных каскадах. Одна российская фирма, зная об этой особенности моделей, делает апгрейд, заменяя аналоговую часть схемы.

Об авторах

Андрей Маркитанов, инженер КБ звукотехники «Три В» из Таганрога. Разрабатывает и внедряет в производство ЦАПы под маркой «Markan», постоянный участник выставок «Российский Hi-End». Любит нестандартные решения, следит за аудиомодой, всегда в курсе последних достижений в области цифровой схемотехники. На память знает распиновку многих чипов Crystal, Burr-Brown и Philips.

Немного теории

Итак, решено - делаем ЦАП. Прежде чем мы начнем рассматривать схему, нелишне будет расшифровать некоторые общепринятые сокращения:

S/PDIF (Sony/Philips Digital Interface Format) - стандарт на цифровую передачу звуковых данных между устройствами (асинхронный интерфейс с самосинхронизацией). Также существует оптический вариант TosLink (от слов Toshiba и Link). Таким интерфейсом оснащаются практически все модели недорогих CD-плейеров, но сейчас он считается устаревшим. Существуют более совершенные интерфейсы, применяемые в дорогих аппаратах, но мы пока о них говорить не будем.

DAC (ЦАП) - цифро-аналоговый преобразователь.

IIS (Inter IC Signal bus) - стандарт на синхронный интерфейс между элементами схемы в пределах одного устройства.

PLL (Phase Locked Loop, ФАПЧ) - система фазовой автоподстройки частоты.

Emphasis - предыскажения.

В настоящее время для формата CD Audio существует два совершенно различных способа цифро-аналогового преобразования: однобитовый и мультибитовый. Не вдаваясь в подробности каждого из них, отметим, что в подавляющем большинстве дорогих моделей DAC используется мультибитовое преобразование. Почему в дорогих? Для достойной реализации такого варианта требуется качественный многоканальный источник питания, сложная процедура настройки выходных фильтров, в некоторых моделях она выполняется вручную, а в развитых странах работа квалифицированного специалиста дешево стоить не может.

Однако однобитовые преобразователи также имеют немало поклонников, т.к. у них своеобразный характер подачи звука, некоторые особенности которого трудно достижимы с помощью существующей мультибитовой технологии. К ним можно отнести более высокую линейность однобитовых ЦАПов на малых уровнях сигнала, а следовательно - лучшую микродинамику, отчетливое детальное звучание. В свою очередь, аргументом сторонников мультибитовых ЦАПов является более сильное эмоциональное воздействие на слушателя, масштабность и открытость звука, отлично воспроизводятся т.н. «драйв» и «чес», что особо ценится любителями рока.

По идее, для безупречной работы однобитовых ЦАПов требуется очень высокая тактовая частота. В нашем случае, т.е. 16 бит и 44,1 кГц, она должна составлять около 2,9 ГГц, что является абсолютно неприемлемым значением с технической точки зрения. С помощью математических трюков и всевозможных пересчетов ее удается уменьшить до приемлемых значений в пределах нескольких десятков мегагерц. Видимо, этим и объясняются некоторые особенности звучания однобитовых ЦАПов. Так какой же лучше? Мы опишем оба варианта, а уж какой выбрать - решайте сами.

Главное, чем мы руководствовались при разработке схемы, - ее предельная простота, позволяющая понять идею и реализовать ее в конкретной конструкции даже не искушенному в цифровой технике аудиофилу. Тем не менее, описываемый ЦАП способен заметно облагородить звучание бюджетного аппарата, оснащенного коаксиальным цифровым выходом. Если ваш проигрыватель такового не имеет, то несложно будет организовать его самостоятельно. Для этого в большинстве случаев достаточно установить на задней стенке разъем RCA и подпаять его сигнальный лепесток к соответствующему месту на плате. Как правило, базовый вариант motherboard делается на несколько моделей сразу, только «набивается» по-разному, и на ней должно быть место для впайки гнезда цифрового выхода. Если это не так, придется искать схему аппарата - в авторизованных сервис-центрах, на радиорынках или в Интернете. В дальнейшем этот макет может послужить объектом приложения усилий для его дальнейшего улучшения и позволит, наконец, добиться «нежной дымки над чистым образом».

Практически все аппараты подобного назначения строятся на схожей элементной базе, выбор элементов для разработчика не так уж и широк. Из доступных в России назовем микросхемы Burr-Brown, Crystal Semiconductors, Analog Devices, Philips. Из приемников S/PDIF сигнала сейчас по приемлемым ценам более-менее доступны CS8412, CS8414, CS8420 от Crystal Semiconductors, DIR1700 от Burr-Brown, AD1892 от Analog Devices. Выбор самих ЦАПов несколько шире, но в нашем случае оптимальным представляется использование CS4328, CS4329, CS4390 с преобразованием дельта-сигма, они наиболее полно отвечают критерию качество/цена. Широко распространенные в High End мультибитовые чипы Burr-Brown РСМ63 стоимостью 96 долларов или более современные PCM1702 требуют еще и определенных типов цифровых фильтров, которые тоже недешевы.

Итак, выбираем продукцию Crystal Semiconductors, а документацию на микросхемы с подробным их описанием, распиновкой и таблицами состояний можно скачать с сайта www.crystal.com.

Детали преобразователя
Сопротивления
R1 220 1/4 w
R2 75 1/4 w
R3 2k 1/4 w
R4 - R7 1k 1/4 w
R8, R9 470k 1/4 w углерод
Конденсаторы
С1 1,0 мкФ керамика
С2, С4, С8, С9 1000 мкФ х 6,3 В оксидные
С3, С5, С7, С120 1 мкФ керамика
С6 0,047 мкФ керамика
С10, С11 1,0 мкФ К40-У9 (бумага)
Полупроводники
VD1 АЛ309 красный светодиод
VT1 КТ3102А n-p-n транзистор
U1 CS8412 приемник цифрового сигнала
U2 74HC86 TTL-буфер
U3 CS4390 ЦАП

Переходим к схеме

Итак, остается вопрос: какую же схему выбрать? Как уже говорилось, она должна быть несложной, доступной для повторения и обладать достаточным потенциалом качества звучания. Также представляется обязательным наличие переключателя абсолютной фазы, что позволит лучше согласовать ЦАП с остальными элементами звукового тракта. Вот оптимальный, на наш взгляд, вариант: цифровой приемник CS8412 и однобитовый ЦАП CS4390 стоимостью около $7 за корпус (лучше постараться найти вариант DIP, это заметно облегчит монтаж). Этот ЦАП применяется в известной модели проигрывателя Meridian 508.24 и до сих пор у Crystal считается лучшим. В мультибитовом варианте используется чип Philips TDA1543. Схема однобитового преобразователя выглядит следующим образом:

Резисторы R1-R7 малогабаритные, любого типа, а вот R8 и R9 лучше взять серии ВС или импортные углеродистые. Электролитические конденсаторы С2, С4, С8, С9 должны быть номиналом не менее 1000 мкФ с рабочим напряжением 6,3 - 10 В. Конденсаторы С1, С3, С5, С6, С7 - керамические. С10, С11 желательно применить К40-У9 или МБГЧ (бумага в масле), но подойдут и пленочные К77, К71, К73 (перечислены в порядке уменьшения приоритета). Трансформатор Т1 - для цифрового аудио, достать его не проблема. Можно попробовать применить трансформатор от неисправной компьютерной сетевой платы. На схеме не показано подключение питания микросхемы U2, минус подается на 7-ю ножку, а плюс - на 14-ю.

Для максимального использования звукового потенциала схемы желательно придерживаться следующих правил монтажа. Все соединения к общему проводу (помечен значком GND) лучше произвести в одной точке, например, на выводе 7 микросхемы U2. Наибольшее внимание следует уделить входному узлу цифрового сигнала, который включает в себя входное гнездо, элементы С1, Т1, R2 и выводы 9,10 микросхемы U1.

Необходимо использовать максимально короткие соединения и выводы компонентов. То же самое относится к узлу, состоящему из элементов R5, C6 и выводов 20, 21 микросхемы U1. Электролитические конденсаторы с соответствующими керамическими шунтами должны быть установлены в непосредственной близости от выводов питания микросхем и соединены с ними проводниками минимальной длины. На схеме не показаны еще один электролит и керамический конденсатор, которые подключаются непосредственно на выводы питания 7 и 14 микросхемы U2. Необходимо также соединить между собой выводы 1, 2, 4, 5, 7, 9, 10 микросхемы U2.

После приобретения некоторого опыта вы сможете на слух подбирать величину и тип электролитических и керамических конденсаторов, стоящих в цепях питания на каждом конкретном участке.

Теперь несколько слов о работе самой схемы. Светодиод D1 служит для индикации захвата цифровым приемником U1 сигнала с транспорта и наличия ошибок считывания. В процессе нормального воспроизведения он светиться не должен. Контакты S1 переключают абсолютную фазу сигнала на выходе, это аналогично изменению полярности акустических кабелей. Меняя фазировку, вы сможете заметить, как она влияет на звучание всего тракта. В ЦАПе имеется также схема коррекции де-эмфазиса (вывод 2/U3), и хотя дисков с пре-эмфазисом выпущено не много, такая функция может пригодиться.

Теперь о выходных цепях. Непосредственное подключение микросхемы ЦАП к выходу только через разделительные конденсаторы возможно, поскольку в микросхеме CS4390 уже есть встроенный аналоговый фильтр и даже выходной буфер. По аналогичному принципу построены чипы CS4329 и CS4327, хорошую аналоговую часть также имел ЦАП CS4328. Если вы знаете, как сделать качественные ФНЧ и согласующие каскады, стоит попробовать свои силы на великолепной микросхеме CS4303, которая на выходе имеет цифровой сигнал и дает возможность построения отлично звучащего аппарата, если, например, к ней подключить ламповый буфер с кенотронным питанием.

Но вернемся к нашей CS4390. Принцип построения однобитовых ЦАПов предполагает наличие во внутренних цепях питания значительных по амплитуде импульсных помех. Для уменьшения их влияния на выходной сигнал выход таких ЦАПов практически всегда делают по дифференциальной схеме. Нас же в данном случае не интересуют рекордные показатели по значению сигнал/шум, поэтому мы используем только один выход для каждого канала, что позволяет избежать применения дополнительных аналоговых каскадов, которые могут отрицательно повлиять на звук. Амплитуда сигнала на выходных гнездах вполне достаточна для нормальной работы, а встроенный буфер неплохо справляется с такой нагрузкой, как межблочный кабель и входное сопротивление усилителя.

Теперь поговорим о питании нашего устройства. Звук - это просто модулированный источник питания и ничего больше. Каково питание, таков и звук. Этому вопросу постараемся уделить особое внимание. Начальный вариант стабилизатора питания для нашего устройства показан на рис.2

Достоинства этой схемы - в простоте и понятности. При общем выпрямителе используются разные стабилизаторы для цифровой и аналоговой частей схемы - это обязательно. Между собой они развязаны по входу фильтром, состоящим из С1, L1, С2, С3. Вместо пятивольтовых стабилизаторов 7805 лучше поставить регулируемые LM317 с соответствующими резистивными делителями в цепи управляющего вывода. Расчет номиналов сопротивлений можно найти в любом справочнике по линейным микросхемам. LM317 по сравнению с 7805 имеют более широкий частотный диапазон (не забывайте, что по цепям питания у нас идет не только постоянный ток, но и широкополосный цифровой сигнал), меньшие внутренние шумы и более спокойную реакцию на импульсную нагрузку. Дело в том, что при появлении импульсной помехи (а их по питанию видимо-невидимо!) схема стабилизации, охваченная глубокой отрицательной обратной связью (она необходима для получения высокого коэффициента стабилизации и малого выходного сопротивления), пытается ее скомпенсировать. Как положено для схем с ООС, возникает затухающий колебательный процесс, на который накладываются вновь пришедшие помехи, и в результате выходное напряжение постоянно прыгает вверх-вниз. Отсюда следует, что для питания цифровых схем желательно использовать стабилизаторы на дискретных элементах, не содержащие ОС. Конечно, в таком случае выходное сопротивление источника будет значительно выше, поэтому вся ответственность за борьбу с импульсными помехами перекладывается на шунтирующие конденсаторы, которые с этой задачей справляются неплохо, и это благотворно сказывается на звучании. Кроме того, явно вырисовывается необходимость применения для каждого вывода питания цифровых микросхем отдельного стабилизатора вместе с элементами развязки по питанию (аналогично L1, С2, С3 на рис.2).

В ЦАПах Markan так и сделано, причем фильтр с дополнительным подавлением цифровых помех и выпрямитель работают от отдельной обмотки сетевого трансформатора, а для дополнительной развязки цифровой и аналоговой частей схемы даже используются разные трансформаторы. Так же делается и для дальнейшего усовершенствования нашего ЦАПа, хотя для начала можно использовать схему на рис.2, она обеспечит начальный уровень качества звучания. В выпрямителе лучше применять быстрые диоды Шоттки.

Мультибитовый вариант схемы

Обычно мультибитовые ЦАПы требуют для своей работы нескольких источников напряжения разной полярности и немалого количества дополнительных дискретных элементов. Среди большого разнообразия микросхем остановим свой выбор на Philips TDA1543. Этот ЦАП является «бюджетной» версией великолепной микросхемы TDA 1541, стоит копейки и доступен в розничной продаже у нас в стране.

Микросхема TDA 1541 применялась в CD-проигрывателе Arcam Alpha 5, в свое время собравшем множество призов, хотя его же сильно и ругали - допотопный ЦАП, сильные помехи, но ведь как звучит! Эта микросхема также до сих пор применяется в проигрывателях Naim. TDA1543 великолепно подходит для наших целей, т.к. для него необходим только один источник питания +5 В и он не требует дополнительных деталей. Отпаиваем CS4390 от цифрового приемника и на ее место подключаем TDA 1543 в соответствии со схемой на рис. 3.

Здесь необходимо дать несколько дополнительных разъяснений. Все мультибитовые ЦАПы имеют токовый выход, и для преобразования сигнала в напряжение существуют несколько схемотехнических решений. Наиболее распространенное - операционный усилитель, подключенный инвертирующим входом к выходу ЦАПа. Преобразование ток-напряжение осуществляется за счет ОС, его охватывающей. По теории он работает замечательно, и такой подход считается классическим - его можно встретить в рекомендованных вариантах включения любого мультибитового ЦАПа. Но если говорить о звучании, то тут все не так просто. Для реализации этого метода на практике требуются очень качественные ОУ с хорошими скоростными характеристиками, например AD811 или AD817, которые стоят более $5 за штуку. Поэтому в бюджетных конструкциях чаще поступают по-другому: просто подключают к выходу ЦАПа обычный резистор, и ток, проходя по нему, будет создавать падение напряжения, т.е. полноценный сигнал. Величина этого напряжения будет прямо пропорциональна величине резистора и току, через него протекающему. Несмотря на кажущуюся простоту и изящество этого метода, он пока не получил широкого применения у производителей дорогой аппаратуры, т.к. также имеет множество подводных камней. Главная проблема в том, что токовый выход ЦАПов не предусматривает наличия напряжения на нем и обычно защищен диодами, включенными встречно-параллельно и вносящими значительные искажения в получаемый на резисторе сигнал. Среди известных производителей, которые все-таки решились на такой метод, следует выделить фирму Kondo, которая в своем M-100DAC ставит резистор, намотанный серебряной проволокой. Очевидно, что он имеет очень маленькое сопротивление и амплитуда выходного сигнала также очень мала. Для получения стандартной амплитуды используется несколько ламповых каскадов усиления. Еще одной известной фирмой с нетрадиционным подходом к вопросу преобразования ток-напряжение, является Audio Note. В своих ЦАПах она применяет для этих целей трансформатор, в котором ток, проходящий через первичную обмотку, вызывает магнитный поток, приводящий к появлению на вторичной обмотке напряжения сигнала. Такой же принцип реализован в некоторых ЦАПах серии «Markan».

Но вернемся к TDA 1543. Похоже, что разработчики этой микросхемы по каким-то причинам не установили защитные диоды на выходе. Это открывает перспективу для использования резисторного преобразователя ток-напряжение. Сопротивления R2 и R4 на рис. 3 - как раз для этого. При указанных номиналах амплитуда выходного сигнала составляет около 1 В, чего вполне достаточно для непосредственного подключения ЦАПа к усилителю мощности. Следует отметить, что нагрузочная способность нашей схемы не очень велика и при неблагоприятных условиях (большая емкость межблочного кабеля, малое входное сопротивление усилителя мощности и др.) звучание может быть слегка зажатым по динамике и «размазанным». В этом случае поможет выходной буфер, схему и конструкцию которого вы можете выбрать из множества существующих вариантов. Может случиться, что в некоторых выпускаемых вариантах микросхемы TDA 1543 защитные диоды все-таки установлены (хотя в спецификациях таких сведений нет, и конкретные экземпляры нам также не попадались). В этом случае удастся снять с нее сигнал амплитудой не более 0,2 В, и придется использовать выходной усилитель. Для этого необходимо в 5 раз уменьшить номинал резисторов R2 и R4. Конденсаторы С2 и С4 на рис. 3 образуют фильтр первого порядка, устраняющий ВЧ-помехи из аналогового сигнала и формирующий нужную АЧХ в верхней части диапазона.

Во многих конструкциях ЦАПов используются цифровые фильтры, что значительно облегчает задачу разработчику при проектировании аналоговой части, но при этом на ЦФ ложится большая часть ответственности за конечное звучание аппарата. В последнее время от них стали отказываться, поскольку грамотный аналоговый фильтр эффективно подавляет ВЧ-шумы и не так пагубно влияет на музыкальность. Именно так сделано в ЦАПах «Markan», в которых используется обычный фильтр третьего порядка с линейной фазовой характеристикой, выполненный на LC-элементах. В нашей схеме на рис. 3 для простоты применен аналоговый фильтр первого порядка, которого в большинстве случаев вполне достаточно, особенно если вы используете ламповый усилитель мощности, да еще и без обратных связей. Если же у вас аппаратура транзисторная, то вполне возможно, что придется увеличить порядок фильтра (однако не переусердствуйте, слишком крутая схема обязательно ухудшит звучание). Соответствующие схемы и формулы для расчета вы найдете в любом приличном радиолюбительском справочнике.

Обратите внимание, что резисторы R2, R4 и конденсаторы C2, C4 находятся именно в том месте, где зарождается аналоговый звук. High End начинается именно отсюда и, что называется, «далее везде». От качества этих элементов (особенно от резисторов) в огромной степени будет зависеть звучание всего аппарата. Резисторы необходимо ставить углеродистые ВС, УЛИ или бороуглеродистые БЛП (предварительно подобрав их по одинаковости сопротивлений с помощью омметра), применение импортной экзотики также приветствуется. Конденсаторы допустимы любого типа из указанных выше. Все соединения должны быть минимальной длины. Разумеется, качественные выходные разъемы также необходимы.

Что же у нас получилось?

Я раньше скверно пел куплеты,
хрипел, орал и врал мотив…

(Дж. К. Джером, «Трое в лодке,
не считая собаки»)

Не поленюсь напомнить, что перед первым включением устройства необходимо тщательно проверить весь монтаж. Регулятор громкости усилителя при этом нужно устанавливать в минимальное положение и плавно увеличивать громкость, если помехи, свист и фон на выходе отсутствуют. Будьте внимательны и аккуратны!

В целом для однобитовых ЦАПов характерно очень мягкое, приятное звучание, с обилием тонких деталей. Кажется, что весь свой звуковой потенциал они бросают на помощь солисту, оттесняя других участников музыкального произведения куда-то на задний план. Большие оркестры несколько «уменьшаются» по составу музыкантов, страдают мощь и масштабность их звучания. Мультибитовые ЦАПы уделяют одинаковое внимание всем участникам музыкального действия, не отдаляя и не выделяя никого из них. Динамический диапазон шире, звучание более ровное, но в то же время несколько более отстраненное.

Например, при воспроизведении через мультибитовый ЦАП хорошо известной песни «I Put A Spell on You» в исполнении Creedence Clearwater Revival великолепно передается ее энергетика, мощный поток эмоций просто завораживает, становится понятным замысел ее создателей, мы остро чувствуем, что они хотели нам сказать. Мелкие детали несколько смазаны, но на фоне описанных выше доминирующих характеристик такой подачи звука это не кажется серьезным недостатком. При воспроизведении этой же песни через однобитовый ЦАП картина несколько иная: звучание не столь масштабно, сцена несколько отодвинута назад, зато отлично слышны подробности звукоизвлечения, мелкие штрихи. Хорошо передается момент, когда музыкант приближает гитару к комбику, добиваясь легкого самовозбуждения усилителя. Зато при прослушивании Элвиса Пресли великолепно раскрывается все богатство его голоса. Хорошо заметно, как он менялся с возрастом, эмоциональное воздействие на слушателя также сильно, а несколько отодвинутый на задний план аккомпанемент органично вписывается в общую картину.

Так что выбор типа ЦАПа остается за вами, у обоих вариантов есть как сильные, так и слабые стороны, истина, разумеется, лежит где-то посередине. Несмотря на простоту, звуковой потенциал описанных схем достаточно высок, и при творческом выполнении приведенных рекомендаций конечные результаты вас разочаровать не должны. Желаем успеха!

На вопросы разработчик схемы



Читайте также: